Apa itu teknologi self-aligned quadruple patterning (SAQP)?

March 28, 2024

berita perusahaan terbaru tentang Apa itu teknologi self-aligned quadruple patterning (SAQP)?

Multi-patterning adalah teknik untuk mengatasi keterbatasan litografi dalam pembuatan chip.Multi-patterning memungkinkan produsen chip untuk gambar desain IC pada 20 nanometer dan di bawah.

Secara umum, multi-patterning memiliki dua kategori utama: pitch splitting dan spacers.spacer termasuk pola double self-aligned (SADP) dan pola quadruple self-aligned (SAQP). Baik pemisahan pitch dan teknik spacer dapat meluas ke pola delapan.

berita perusahaan terbaru tentang Apa itu teknologi self-aligned quadruple patterning (SAQP)?  0

Jenis pertama, pitch splitting, terutama digunakan dalam logika. bentuk yang paling umum dari pitch splitting adalah double patterning.double patterning hampir selalu mengacu pada proses pemisahan pitch litho-etch-litho-etch-litho-etch (LELE)Dalam pembuatan wafer, LELE membutuhkan dua langkah litografi dan etching yang independen untuk mendefinisikan satu lapisan.LELE bisa mahal karena menggandakan langkah proses dalam litografi.

Pada awalnya, teknik ini memisahkan tata letak yang tidak dapat dicetak dengan satu eksposur menjadi dua topeng kepadatan yang lebih rendah.Ini membentuk dua pola kasarMereka dikombinasikan dan dilapisi untuk memungkinkan pencitraan yang lebih halus pada wafer.

LELE (yaitu, pola ganda) menimbulkan tata letak baru, verifikasi fisik, dan persyaratan debugging untuk desainer.warna ditugaskan ke lapisan topeng berdasarkan persyaratan jarakLapisan topeng tersegmentasi atau terurai menjadi dua lapisan baru dari tata letak asli yang digambar.

Keputusan kunci dalam metodologi adalah apakah desainer ingin mengejar aliran desain "tanpa warna".memilih dari beberapa pilihan dekomposisiTentu saja, setiap aliran desain membutuhkan trade-offs.

Pada simpul 20 nanometer, pengecoran menggunakan beberapa aliran desain pola ganda yang berbeda.Salah satu aliran yang lebih umum sebenarnya tidak memerlukan tim desain untuk memecah lapisan menjadi dua warnaNamun, dalam kasus tertentu, desainer mungkin ingin tahu apa penugasan warna. Meskipun ini terdengar masuk akal, melihat warna pola ganda berpotensi mengurangi efisiensi debugging.

Sementara itu, pada node 10nm, produsen chip mungkin perlu beralih ke teknik pemisahan pitch lain √ triple patterning.LELELE mirip dengan LELEDalam pembuatan wafer, LELELE membutuhkan tiga langkah litografi dan etching independen untuk mendefinisikan satu lapisan.

Dalam desain, pola tiga kali diperlukan untuk memecah lapisan asli menjadi tiga topeng. Bentuk dari tiga topeng menggabungkan selama pembuatan untuk membentuk bentuk akhir.Pola tiga kali lipat mungkin tampak tidak berbahaya dari luarMembangun algoritma perangkat lunak EDA untuk secara otomatis membongkar, mewarnai, dan memeriksa lapisan dengan pola tiga kali adalah tantangan.Pelanggaran pola tiga bisa sangat kompleks, dan debugging bisa sulit.

Sementara itu, spacer adalah kategori utama kedua dari multi-patterning.SADP/SAQP sebelumnya digunakan untuk memperluas flash NAND ke node 1xnm dan sekarang memasuki bidang logika.

SADP adalah bentuk pola ganda. Kadang-kadang disebut pitch division atau sidewall-assisted double patterning.Proses SADP menggunakan satu langkah litografi bersama dengan deposisi tambahan dan langkah-langkah etching untuk mendefinisikan fitur yang mirip dengan spacersDalam proses SADP, langkah pertama adalah membentuk mandrel pada substrat. kemudian, lapisan deposisi menutupi pola. lapisan deposisi kemudian diukir, membentuk spacer. akhirnya,Bagian atas mengalami langkah-langkah polishing mekanik kimia (CMP).

SAQP pada dasarnya adalah dua siklus dari sidewall spacer double patterning technology. pola sederhana, termasuk yang dalam flash atau finFET dicapai dalam SADP atau SAQP. Dalam teknik ini,garis sejajar terbentuk pertamaSementara itu, lapisan logam dalam chip DRAM dan logika lebih kompleks dan tidak dapat dicapai melalui SADP / SAQP. Lapisan logam ini membutuhkan LELE.Fleksibilitas desain SADP/SAQP juga lebih rendah daripada LELE, sedangkan teknologi jenis LELE membutuhkan melalui pola.

SAQP singkatan dari Self-Aligned Quadruple Patterning.

Menurut informasi yang tersedia, Self-Aligned Quadruple Patterning (SAQP) adalah teknik yang paling banyak digunakan untuk pola fitur dengan pitch yang lebih kecil dari 38 nm,diharapkan mencapai pitch hingga 19 nmPada dasarnya mengintegrasikan beberapa langkah proses dan telah digunakan dalam pola finFET dan 1X DRAM sirip. langkah-langkah ini, seperti yang digambarkan dalam Gambar 1,memungkinkan garis yang awalnya ditarik 80 nm terpisah untuk menghasilkan garis yang terpisah 20 nm (secara efektif mencapai resolusi 10 nm)Ini penting karena jauh melampaui resolusi alat litografi produksi massal, termasuk EUV (yang mencapai resolusi 13 nm).

berita perusahaan terbaru tentang Apa itu teknologi self-aligned quadruple patterning (SAQP)?  1

Proses ini secara alami membagi fitur menjadi tiga kelompok: inti, cangkang, dan batas (lihat Gambar 2).batas membentuk kisi yang juga perlu dibagiOleh karena itu, proses SAQP harus diselesaikan dengan langkah litografi, yang memotong atau memotong cangkang dan fitur batas yang telah didefinisikan sebelumnya.inti dan batas.

berita perusahaan terbaru tentang Apa itu teknologi self-aligned quadruple patterning (SAQP)?  2

Dalam varian lain dari aliran proses SAQP (lihat Gambar 3), fitur cangkang sebenarnya adalah bahan spacer pertama yang tersisa, sementara inti dan batasnya adalah bahan yang berbeda,baik substrat atau bahan pengisi celahOleh karena itu, mereka diwakili dengan warna yang berbeda dalam Gambar 2. Fakta bahwa mereka adalah bahan yang berbeda menyiratkan bahwa mereka dapat secara selektif diukir.Ini menawarkan kesempatan untuk mencapai beberapa pola menantang.

berita perusahaan terbaru tentang Apa itu teknologi self-aligned quadruple patterning (SAQP)?  3

Aplikasi yang sangat berguna adalah kombinasi fitur pitch minimum dan pitch minimum 2x. Kombinasi ini biasanya dilarang dalam paparan tunggal dengan k1 < 0.5Salah satu kombinasi yang sangat menakutkan adalah garis pitch minimum dengan 2x gangguan pitch minimum (lihat Gambar 4, kiri).Pola difraksi dari gangguan jauh lebih lemah dibandingkan dengan garis itu sendiri karena mereka menempati area yang jauh lebih kecil. Kinerja mereka juga memburuk jauh lebih cepat di bawah defokus. Kombinasi ini juga tidak dapat diperbaiki dengan fitur bantuan karena tidak ada ruang untuk memasukkan mereka untuk mencapai garis pitch minimum.Di sisi lain, melalui etching selektif, fitur topeng dapat melewati garis-garis di antara (lihat Gambar 4, kanan).Ini sangat menyederhanakan pemotongan dan menghindari kemungkinan kesalahan penempatan tepi yang mungkin terjadi ketika memotong secara terpisah di dua lokasi.

berita perusahaan terbaru tentang Apa itu teknologi self-aligned quadruple patterning (SAQP)?  4

Untuk etching selektif, tiga topeng diperlukan - satu untuk mendefinisikan wilayah A / B yang terpisah, topeng kedua untuk etching selektif A, dan topeng ketiga untuk etching selektif B. Namun,Etching selektif (digabungkan dengan SAQP) juga memungkinkan toleransi tumpang tindih yang lebih besar dan jumlah minimum masker, sehingga memungkinkan kombinasi pitch baris minimum dan gangguan pada dua kali pitch baris minimum, membuat multi-patterning lebih mudah untuk ditangani.

Singkatnya, semua proses multi-patterning yang selaras sendiri mencakup langkah-langkah berikut:

  1. Mencetak jejak mandrel.
  2. Tumbuh dinding samping pada pola mandrel dicetak.
  3. Menghilangkan pola mandrel.
  4. Mengembangkan pola akhir yang diproduksi di antara dinding samping.
  5. Menambahkan blok dielektrik untuk mencapai jarak ujung-ke-ujung yang diinginkan di target akhir.
  6. Saat kita maju menuju simpul teknologi yang lebih maju, pola lapisan logam kritis back-end-of-line (BEOL) dengan pitch yang lebih agresif, seperti 32 nanometer,menjadi sangat menantangBiasanya, parit dibuat dalam lapisan BEOL ini, yang kemudian diisi dengan logam dalam langkah metalisasi akhir.lapisan pemblokiran vertikal tegak lurus ke parit ditambahkan, membentuk jarak logam kecil ujung-ke-ujung.

    Di dalam industri, berbagai pilihan telah dipertimbangkan untuk pola lapisan BEOL yang paling agresif dan blok.Salah satu pilihan adalah menggabungkan litografi imersi dengan apa yang dikenal sebagai Metal Line Self-Aligned Quadruple Patterning (SAQP)Namun, opsi ini membutuhkan topeng blok triple dan proses litografi triple, yang menambah biaya dan kompleksitas solusi yang diusulkan.Pilihan lain adalah untuk langsung menggunakan Litografi Ultraviolet Ekstrim (EUVL) untuk pola lapisan logam BEOL dalam paparan tunggalSementara proses integrasi EUVL langsung ini mudah dan hemat biaya, kesetiaan (seperti bentuk) dan variabilitas pola, serta pembuatan topeng,diharapkan menjadi sangat menantang, terutama untuk jarak ujung ke ujung yang sangat kecil.